fc2ブログ

[SystemVerilog] generic interface ports

Generic SV programming with generic interface ports


こちらに書いてある記事のコードを動かすとこんな感じになります。

module testbench ();

  logic [7:0] out;

  test#(4) hoge(.*);

  initial begin
    #100; $display("out = %0d", out);
    $finish();
  end

endmodule: testbench
  • 実行結果
  • # 35
    #out = 35
    

なかなか面白い記述というか。。。
動かしただけなので、勉強する必要ありそうです。(笑)


この記事では、LRMの 25.3.3章にある接続に関して述べています。
SystemVerilogの規格に興味ある方は本日 22:30〜 より読書会を行いますので
参加してみてはいかがでしょうか?


お待ちしております。


SystemVerilog読書会


関連記事

コメントの投稿

非公開コメント

プロフィール

Kocha

Author:Kocha
なんでもチャレンジ!(^o^)/

はてなブログがメイン場に
github:Kocha
イベントカレンダー

カレンダー
02 | 2024/03 | 04
- - - - - 1 2
3 4 5 6 7 8 9
10 11 12 13 14 15 16
17 18 19 20 21 22 23
24 25 26 27 28 29 30
31 - - - - - -
カテゴリ
OVP (4)
最新記事
最新コメント
アーカイブ
リンク
Twitter
アクセス人数